调制器设计
A. 求个基于Simulink的FSK调制与解调器的实现与设计
求个基于Simulink的FSK调制与解调器的实现与设
比较多好的知道更多
B. 基于FPGA的FSK调制解调器的设计及实现
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity PL_FSK is
port(clk :in std_logic; --系统时钟
start :in std_logic; --开始调制信号
x :in std_logic; --基带信号
y :out std_logic); --调制信号
end PL_FSK;
architecture behav of PL_FSK is
signal q1:integer range 0 to 11; --载波信号f1的分频计数器
signal q2:integer range 0 to 3; --载波信号f2的分频计数器
signal f1,f2:std_logic; --载波信号f1,f2
begin
process(clk) --此进程通过对系统时钟clk的分频,得到载波f1
begin
if clk'event and clk='1' then
if start='0' then q1<=0;
elsif q1<=5 then f1<='1';q1<=q1+1; --改变q1后面的数字可以改变,载波f1的占空比
elsif q1=11 then f1<='0';q1<=0; --改变q1后面的数字可以改变,载波f1的频率
else f1<='0';q1<=q1+1;
end if;
end if;
end process;
process(clk) --此进程通过对系统时钟clk的分频,得到载波f2
begin
if clk'event and clk='1' then
if start='0' then q2<=0;
elsif q2<=0 then f2<='1';q2<=q2+1; --改变q2后面的数字可以改变,载波f2的占空比
elsif q2=1 then f2<='0';q2<=0; --改变q2后面的数字可以改变,载波f2的频率
else f2<='0';q2<=q2+1;
end if;
end if;
end process;
process(clk,x) --此进程完成对基带信号的FSK调制
begin
if clk'event and clk='1' then
if x='0' then y<=f1; --当输入的基带信号x='0'时,输出的调制信号y为f1
else y<=f2; --当输入的基带信号x='1'时,输出的调制信号y为f2
end if;
end if;
end process;
end behav;
C. 求设计调制解调器原理图
http://lunwen.zhupao.com/Article/2005-4-9/15799.shtml
http://sdkh.51.net/shej/shil.htm
以上两个网站应该有你要的资内料!容
D. 电气专业毕业设计-基于DDS的FSK调制器设计
毕业设计有几个能做出来的,其实工作了再回头看看,毕业设计真的不难。专主要是你没经属验!建议你从这几个方面入手。首先,详细了解FSK调制器的工作原理,然后,了解DDS,最后通过DDS来实现FSK的功能! 话说,我也不晓得你具体怎么设计。
E. 跪求求通信原理 2PSK数字调制器设计论文!!!
圣哥你给我分吧
F. cpsk调制器设计
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity PL_CPSK is
port(clk :in std_logic; --系统时钟
start :in std_logic; --开始调制信号
x :in std_logic; --基带信号
y :out std_logic); --已调制输出信号
end PL_CPSK;
architecture behav of PL_CPSK is
signal q:std_logic_vector(1 downto 0); --2位计数器
signal f1,f2:std_logic; --载波信号
begin
process(clk) --此进程主要是产生两重载波信号f1,f2
begin
if clk'event and clk='1' then
if start='0' then q<="00";
elsif q<="01" then f1<='1';f2<='0';q<=q+1;
elsif q="11" then f1<='0';f2<='1';q<="00";
else f1<='0';f2<='1';q<=q+1;
end if;
end if;
end process;
process(clk,x) --此进程完成对基带信号x的调制
begin
if clk'event and clk='1' then
if q(0)='1' then
if x='1' then y<=f1; --基带信号x为‘1’时,输出信号y为f1
else y<=f2; --基带信号x为‘0’时,输出信号y为f2
end if;
end if;
end if;
end process;
end behav;
G. 基于单片机的2ASK调制器设计怎么做
基于单片机的2ASK调制器
单片机设计,是要写程序 的确你应该懂得
着个你
要达到什么标准,还有,要不要写论文
H. 哪位有制粒机输送器和调制器的设计方面的资料
我做来的是一个2米长的调质器源,需要确定:实际生产中, 1.输送机的轴转速,老师要求里面的螺旋是变螺距的 ,找了些资料也没找到什么,都是定距的,希望大家提供这方面的信息, 2. 调质器的轴转速,具体里面桨叶是什么形状,怎么安排,都不清楚。 3.输送机送到调质器的量 与 调质器送到制粒器的量是否相等,也就是这两个输送量是否一致? 4.输送机要求用1.KW的调速电机,搞不明白。 做这设计,全靠想象,我都没见过实物,大家帮帮忙吧