調制器設計
A. 求個基於Simulink的FSK調制與解調器的實現與設計
求個基於Simulink的FSK調制與解調器的實現與設
比較多好的知道更多
B. 基於FPGA的FSK數據機的設計及實現
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity PL_FSK is
port(clk :in std_logic; --系統時鍾
start :in std_logic; --開始調制信號
x :in std_logic; --基帶信號
y :out std_logic); --調制信號
end PL_FSK;
architecture behav of PL_FSK is
signal q1:integer range 0 to 11; --載波信號f1的分頻計數器
signal q2:integer range 0 to 3; --載波信號f2的分頻計數器
signal f1,f2:std_logic; --載波信號f1,f2
begin
process(clk) --此進程通過對系統時鍾clk的分頻,得到載波f1
begin
if clk'event and clk='1' then
if start='0' then q1<=0;
elsif q1<=5 then f1<='1';q1<=q1+1; --改變q1後面的數字可以改變,載波f1的占空比
elsif q1=11 then f1<='0';q1<=0; --改變q1後面的數字可以改變,載波f1的頻率
else f1<='0';q1<=q1+1;
end if;
end if;
end process;
process(clk) --此進程通過對系統時鍾clk的分頻,得到載波f2
begin
if clk'event and clk='1' then
if start='0' then q2<=0;
elsif q2<=0 then f2<='1';q2<=q2+1; --改變q2後面的數字可以改變,載波f2的占空比
elsif q2=1 then f2<='0';q2<=0; --改變q2後面的數字可以改變,載波f2的頻率
else f2<='0';q2<=q2+1;
end if;
end if;
end process;
process(clk,x) --此進程完成對基帶信號的FSK調制
begin
if clk'event and clk='1' then
if x='0' then y<=f1; --當輸入的基帶信號x='0'時,輸出的調制信號y為f1
else y<=f2; --當輸入的基帶信號x='1'時,輸出的調制信號y為f2
end if;
end if;
end process;
end behav;
C. 求設計數據機原理圖
http://lunwen.zhupao.com/Article/2005-4-9/15799.shtml
http://sdkh.51.net/shej/shil.htm
以上兩個網站應該有你要的資內料!容
D. 電氣專業畢業設計-基於DDS的FSK調制器設計
畢業設計有幾個能做出來的,其實工作了再回頭看看,畢業設計真的不難。專主要是你沒經屬驗!建議你從這幾個方面入手。首先,詳細了解FSK調制器的工作原理,然後,了解DDS,最後通過DDS來實現FSK的功能! 話說,我也不曉得你具體怎麼設計。
E. 跪求求通信原理 2PSK數字調制器設計論文!!!
聖哥你給我分吧
F. cpsk調制器設計
library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity PL_CPSK is
port(clk :in std_logic; --系統時鍾
start :in std_logic; --開始調制信號
x :in std_logic; --基帶信號
y :out std_logic); --已調制輸出信號
end PL_CPSK;
architecture behav of PL_CPSK is
signal q:std_logic_vector(1 downto 0); --2位計數器
signal f1,f2:std_logic; --載波信號
begin
process(clk) --此進程主要是產生兩重載波信號f1,f2
begin
if clk'event and clk='1' then
if start='0' then q<="00";
elsif q<="01" then f1<='1';f2<='0';q<=q+1;
elsif q="11" then f1<='0';f2<='1';q<="00";
else f1<='0';f2<='1';q<=q+1;
end if;
end if;
end process;
process(clk,x) --此進程完成對基帶信號x的調制
begin
if clk'event and clk='1' then
if q(0)='1' then
if x='1' then y<=f1; --基帶信號x為『1』時,輸出信號y為f1
else y<=f2; --基帶信號x為『0』時,輸出信號y為f2
end if;
end if;
end if;
end process;
end behav;
G. 基於單片機的2ASK調制器設計怎麼做
基於單片機的2ASK調制器
單片機設計,是要寫程序 的確你應該懂得
著個你
要達到什麼標准,還有,要不要寫論文
H. 哪位有制粒機輸送器和調制器的設計方面的資料
我做來的是一個2米長的調質器源,需要確定:實際生產中, 1.輸送機的軸轉速,老師要求裡面的螺旋是變螺距的 ,找了些資料也沒找到什麼,都是定距的,希望大家提供這方面的信息, 2. 調質器的軸轉速,具體裡面槳葉是什麼形狀,怎麼安排,都不清楚。 3.輸送機送到調質器的量 與 調質器送到制粒器的量是否相等,也就是這兩個輸送量是否一致? 4.輸送機要求用1.KW的調速電機,搞不明白。 做這設計,全靠想像,我都沒見過實物,大家幫幫忙吧